Synchrotron radiation absorption spectroscopy to enhance photoresists

Synchrotron radiation spectroscopy enables analysis of chemical reactions that increase the sensitivity of extreme UV photoresists for patterning semiconductor materials.
16 April 2014
Takeo Watanabe, Kazuya Emura, Yuichi Haruyama, Tetsuo Harada, Yasuji Muramatsu, Hiroo Kinoshita, Daiju Shiono, Katsumi Ohmori and Kazufumi Sato

Extreme UV lithography (EUVL) offers a promising solution for high-volume manufacturing of semiconductors with 16-nm-technology nodes.1 However, the method requires an EUV source with high power and stability, such as laser-produced plasma. Currently, EUV source power is lower than the specification for high-volume manufacturing, with the largest power source of 55W at the intermediate focus position enabling wafer throughput of approximately 40 wafers per hour. To achieve throughput of 120 wafers/hour using an EUV photoresist with sensitivity 10mJ/cm2, the source power would need to be 180W/cm2. For an increased resist sensitivity of 5mJ/cm2, the source power required would be 90W/cm2. Therefore, increasing the resist sensitivity reduces the required EUV source power.

Purchase SPIE Field Guide to Optical LithographyPrevious research has sought to increase this sensitivity, but much of it is based on studies using electron beams rather than EUV. Resists that have been chemically amplified2–4 have high sensitivity and resolution. Therefore, we considered exposing to EUV a resist incorporating a photo acid generator (PAG) which is a compound that, when exposed to light, produces acid that reacts with the polymer to amplify the resist.

We used soft x-ray absorption spectroscopy to measure and analyze atomic behavior in the resist material. To study the reaction of the PAG using soft x-ray absorption spectroscopy for the common resist materials carbon, oxygen, nitrogen, and fluorine, requires a highly brilliant light source, such as synchrotron radiation (SR).

We performed SR absorption spectroscopy measurements using the BL07B beamline at the NewSUBARU synchrotron light facility. As a light source, we used a short undulator (a periodic magnetic component that stimulates synchrotron radiation). The beamline comprised three glancing mirrors: M0, M1 and M2, the monochromater (a device to select the radiation wavelength), entrance and exit slits, and the end-station exposure and analyzing chambers, which we adapted from the loadlock chamber to exchange the sample. We used variable line spacing plane gratings as a monochromator and installed three density types: 600, 1200, and 2400 lines/mm in the monochromator vacuum chamber. For SR spectroscopy, the photon energy region is between 80 and 800eV. The exit slit size was 20μm(H)×20μm(V), where H and V are horizontal and vertical directions on a plane perpendicular to the light axis, respectively, and the SR light spot size on the sample was 1mm(H)×1mm (V). Energy resolution EE was approximately 1000, and the flux of the photon energy of 91.8eV (λ=13.5nm) was approximately 3.0×1011 photons/s/100mA. We obtained the absorption signal from the ratio (I2/I1) of the photocurrents of the sample I2 to that from the gold mesh I1, and the total energy resolution was approximately 0.1eV. We measured the photocurrent with a 6514 Keithley microammeter.

Figure 1 shows the configuration of the total electron yield method using soft x-ray absorption spectroscopy. A photoelectron and auger electron are produced by soft x-ray irradiation, and the electron of the drain current is supplied to the vacant electron orbit. The drain current corresponds to the soft x-ray absorption and is measured by the microammeter.


Figure 1. Configuration of the total electron yield method in soft x-ray absorption spectroscopy. The resultant photocurrent and chemical reaction in the photoresist can be measured with a microammeter. SR: Synchrotron radiation. NewSUBARU BL-7B: Synchrotron light facility. A: Output photocurrent. Au Mesh: Gold mesh and sample.

In this study, both the model resists A and B used poly hydroxystyrene- co-t-butylacrylate as the base polymer, and propyleneglycol monomethyletheracetate as a solvent. For the PAG, resists A and B used triphenylsulfonium cyclo (1,3- perfluoro propanedisulfone) imidate (TPS-Imidate) and triphenylsulfonium perfluorobutanesulfonate (TPS-PFBS), respectively.

In a previous study5, the electric field (E0) sensitivity of resist A was approximately four times higher than that of resist B. However, the sensitivities of resists A and B are the same under krypton fluoride laser and electron beam exposures. In our study using SR absorption spectroscopy6,7, for the absorption spectra of carbon, the peak at 285.5eV corresponds to the π* chemical bonding of the positively charged benzene ions (cation) in the PAG, and this absorption decreases during EUV exposure. The decomposition is due to the ionization reaction during irradiation, where the secondary electron decomposes the PAG positive ions. It is easier to reduce the lower energy electrons in the first electron orbit of fluorine atoms in negatively charged ions (anion) of TPS-Imidate during EUV exposure than in TPS-PFBS (see Figure 2). This fluorine decomposition is due to the reaction of the PAG anion and indicates that there is direct excitation of the PAG during EUV exposure. The results suggest that the sensitivity difference is due to the direct excitation efficiency in EUV resists.


Figure 2. The absorption spectra of fluorine for the anion of the photoacid generators (a) triphenylsulfonium cyclo (1,3-perfluoro propanedisulfone) imidate and (b) triphenylsulfonium perfluorobutanesulfonate.

SR absorption spectroscopy has potential to be a powerful tool for analyzing the decomposition reaction of negative ions in PAGs. In future work, we will consider further chemical reaction analysis and pass this information to resist material designers to satisfy specifications for high-volume manufacturing.


Takeo Watanabe, Kazuya Emura, Yuichi Haruyama, Tetsuo Harada, Yasuji Muramatsu, Hiroo Kinoshita
University of Hyogo
Akou-gun, Japan

Takeo Watanabe is an associate professor at the Center for EUVL (CEL) in the Laboratory of Advanced Science and Technology for Industry (LASTI). His work is focused on the material and process development of resists in EUV lithography.

Kazuya Emura is a graduate student at CEL, LASTI, and focuses on the development of resists in EUV lithography.

Yuichi Haruyama is an associate professor at LASTI. He focuses on chemical analysis for science and industrial applications.

Tesuo Harada is assistant professor at CEL, LASTI and focuses on mask inspection technology in EUV lithography.

Yasuji Muramatsu is a professor at the graduate school of engineering focusing on chemical analysis of functional materials using synchrotron radiation soft x-ray spectroscopy.

Hiroo Kinoshita is an inventor of EUV lithography and is a professor at CEL, LASTI. He focuses on the development of EUV lithographic technology.

Daiju Shiono, Katsumi Ohmori, Kazufumi Sato
Tokyo Ohka Kogyo (TOK)
Koza, Japan

Daiju Shiono joined TOK in 2003. He led the development of ArF immersion resist materials and EUV molecular resist materials for high resolution and lower LER property from decomposition analysis of resist materials during EUV exposure and post exposure break. Since 2012, he has been engaged in directed self assembly (DSA) material and process development.

Katsumi Ohmori joined TOK in 1991, and led development of chemically amplified positive and negative tone photo resists until 2001. He was then transferred to OHKA America Inc. for customer support. Since then, he has been in charge of resist raw material development, such as polymer and PAG for ArF immersion and EUV, and he also leads EUV resist and DSA material development.

Kazufumi Sato joined TOK in 1985 and was engaged in development of positive tone photoresists for semiconductors. He developed g-line and i-line photoresists using the napthoquinone diazide system, and also developed KrF and ArF photoresists using chemically amplified systems. He was appointed deputy department manager in 2011 and leads resist materials development for the semiconductor market.


References:
1. H. Kinoshita, K. Kurihara, Y. Ishii, Y. Torii, Soft x-ray reduction lithography using multilayer mirrors, J. Vac. Sci. & Technol. B7, p. 1648, 1989.
2. H. Ito, C. G. Willson, J. M. J. Frechet, New UV resists with negative or positive tone, Symp. VLSI Tech. Digest of Tech. Papers, p. 86, 1982.
3. H. Ito, C. G. Willson, Chemical amplification in the design of dry developing resist materials, Polym. Eng. Sci. 23, p. 1012, 1983.
4. H. Ito, G. Breyta, D. Hofer, R. Sooriyakumaran, K. Petrillo, D. Seeger, Environmentally stable chemical amplification positive resist: Contamination resistance and lithographic feasibility, J. Photopolym. Sci. Technol. 7, p. 433, 1994.
5. T. Watanabe, H. Hada, S. Y. Lee, H. Kinoshita, K. Hamamoto, H. Komano, Development of fast photospeed chemically amplified resist in EUV lithography, Jpn. J. Appl. Phys. 44, p. 5866, 2005.
6. T. Watanabe, Y. Haruyama, D. Shiono, K. Emura, T. Urayama, T. Harada, H. Kinoshita, Chemical reaction analysis of EUV CA resist using SR absorption spectroscopy, J. Photopolym. Sci. Technol. 25, p. 569, 2012.
7. T. Watanabe, K. Emura, D. Shiono, Y. Haruyama, Y. Muramatsu, K. Ohmori, K. Sato, T. Harada, H. Kinoshita, EUV resist chemical reaction analysis using SR, J. Photopolym. Sci. Technol. 26, p. 635, 2013.
PREMIUM CONTENT
Sign in to read the full article
Create a free SPIE account to get access to
premium articles and original research